•  
  •  
 

Bulletin of Chinese Academy of Sciences (Chinese Version)

Keywords

chip design, artificial intelligence,chip learning, design automation

Document Type

Build and Strengthen China`s Information Tech-system

Abstract

Chip is the foundation of the modern information society. As the world is entering a new era of human-cyber-physical ternary computing, with diverse intelligent applications over trillions of devices, chip with specialized architecture will be heavily demanded in both numbers and types. However, chip design is very costly, which usually requires a long design cycle, complicated process, and high professional developers. Hence, there is a large gap between the need of tremendous chips and the high cost of chip design in the new era. This study proposes Chip Learning, a learning-based method to perform the entire chip design, including logic design, circuit design, and physical design. As an alternate to chip design, Chip Learning aims to remove the barrier of professional knowledge and experiences for effective chip design with a no-human-in-the-loop process in a short time.

First page

15

Last Page

23

Language

Chinese

Publisher

Bulletin of Chinese Academy of Sciences

References

1 Hennessy J L, Patterson D A. A new golden age for computer architecture. Communications of the ACM, 2019, 62(2):48-60. 2 Guo K, Li W, Zhong K, et al. Neural network accelerator comparison.[2021-12-20]. https://nicsefc.ee.tsinghua.edu.cn/projects/neural-network-accelerator/. 3 Han S S, Kahng A B, Nath S, et al. A deep learning methodology to proliferate golden signoff timing//2014 Design, Automation & Test in Europe Conference & Exhibition (DATE). Dresden:IEEE, 2014:1-6. 4 Shypula A, Yin P, Lacomis J, et al. Learning to superoptimize real-world programs. (2016-12-04). http://export.arxiv.org/abs/1612.01094. 5 Cadence. Ispatial flow in genus:A modern approach for physical synthesis. (2020-06-14)[2021-11-25]. https://community.cadence.com/cadence_blogs_8/b/di/posts/ispatial-flow-in-genus-a-modern-approach-for-physicalsynthesis. 6 Synopsys. Dso.ai:Achieve ppa targets faster with the world's first ai application for chip design.[2021-12-20]. https://www.synopsys.com/implementation-and-signoff/ml-aidesign/dso-ai.html. 7 Zhao J R, Liang T Y, Sinha S, et al. Machine learning based routing congestion prediction in FPGA high-level synthesis//2019 Design, Automation Test in Europe Conference Exhibition (DATE). Florence:IEEE, 2019:1130-1135. 8 Makrani H M, Sayadi H, Mohsenin T, et al. XPPE:Crossplatform performance estimation of hardware accelerators using machine learning//24th Asia and South Pacific Design Automation Conference. Tokyo:ACM, 2019:727-732. 9 Ferianc M, Fan H X, Chu R, et al. Improving performance estimation for FPGA-based accelerators for convolutional neural networks//16th International Symposium on Applied Reconfigurable Computing. Toledo:Springer, 2020:3-13. 10 Mohammadi Makrani H, Farahmand F, Sayadi H, et al. Pyramid:Machine learning framework to estimate the optimal timing and resource usage of a high-level synthesis design//29th International Conference on Field Programmable Logic and Applications (FPL). Barcelona:IEEE, 2019:1946-1488. 11 Que Y H, Kapre N, Ng H, et al. Improving classification accuracy of a machine learning approach for FPGA timing closure//IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). Washington:IEEE, 2016:80-83. 12 Kim R G, Doppa J R, Pande P P. Machine learning for design space exploration and optimization of manycore systems//2018 IEEE/ACM International Conference on ComputerAided Design (ICCAD). San Diego:IEEE, 2018:1558-2434. 13 Wang Z, Schafer B C. Machine leaming to set metaheuristic specific parameters for high-level synthesis design space exploration//57th ACM/IEEE Design Automation Conference (DAC). San Francisco:IEEE, 2020:1-6. 14 Devlin J, Uesato J, Bhupatiraju S, et al. RobustFill:Neural program learning under Noisy I/O//Proceedings of the 34th International Conference on Machine Learning. Sydney:Association for Computing Machinery, 2017:1641-1658.. 15 Udrescu S M, Tegmark M. AI Feynman:A physics-inspired method for symbolic regression. Science Advances, 2020:6(16):eaay2631. 16 Neto W L, Austin M, Temple S, et al. LSOracle:A logic synthesis framework driven by artificial intelligence:Invited Paper//2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). Westminster:IEEE, 2019:1558-2434. 17 Haaswijk W, Collins E, Seguin B, et al. Deep learning for ogic optimization algorithms//2018 IEEE International Symposium on Circuits and Systems (ISCAS). Florence:IEEE, 2018:1-4. 18 Zhu K R, Liu M J, Chen H, et al. Exploring logic optimizations with reinforcement learning and graph convolutional network//ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD). New York:ACM, 2020:145-150. 19 Hosny A, Hashemi S, Shalan M, et al. DRiLLS:Deep reinforcement learning for logic synthesis//25th Asia and South Pacific Design Automation Conference (ASP-DAC). Beijing:IEEE, 2020:581-586. 20 Pasandi G, Peterson M, Herrera M, et al. Deep-PowerX:A deep learning-based framework for low-power approximate logic synthesis//Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design. New York:ACM, 2020:73-78. 21 Mirhoseini A, Goldie A, Yazgan M, et al. A graph placement methodology for fast chip design. Nature, 2021, 594:207-212. 22 He Y B, Bao F S. Circuit routing using monte carlo tree search and deep neural networks. (2020-06-24). https://arxiv.org/pdf/2006.13607v1.pdf. 23 Lu T J, Wu K, Yang Z P, et al. High-speed channel modeling with deep neural network for signal integrity analysis//IEEE 26th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS). San Jose:IEEE, 2017:1-3. 24 Nagaria S, Deb S. Designing of an optimization technique for the prediction of CTS outcomes using neural network//2020 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS). Chennai:IEEE, 2020:312-315. 25 Kwon Y, Jung J, Han I, et al. Transient clock power estimation of Pre-CTS netlist//2018 IEEE International Symposium on Circuits and Systems (ISCAS). Florence:IEEE, 2018:1-4. 26 Liang J H, Hari Govind V K, Poupart P, et al. An empirical study of branching heuristics through the lens of global learning rate//International conference on theory and applications of satisfiability testing. Cham:Springer, 2017:119-135. 27 Alawieh M B, Li W X, Lin Y B, et al. High-definition routing congestion prediction for large-scale FPGAs//25th Asia and South Pacific Design Automation Conference. Beijing:IEEE, 2020:26-31. 28 Barboza E C, Shukla N, Chen Y R, et al. Machine learningbased pre-routing timing prediction with reduced pessimism//56th ACM/IEEE Design Automation Conference. Las Vegas:IEEE, 2019:1-6. 29 Ambasana N, Gope D, Mutnury B, et al. Application of artificial neural networks for eye-height/width prediction from s-parameters//IEEE 23rd Conference on Electrical Performance of Electronic Packaging and Systems. Portland:IEEE, 2014:99-102. 30 Chan H G, Goh P. Neural networks for eye height and eye width prediction with an improved adaptive sampling algorithm//Asian Simulation Conference. Singapore:Springer, 2017:189-201. 31 Liao H G, Zhang W T, Dong X L, et al. A deep reinforcement learning approach for global routing. Journal of Mechanical Design, 2020, 142(6):061701. 32 Liao H G, Dong Q Y, Dong X L, et al. Attention routing:Track-assignment detailed routing using attention-based reinforcement learning//ASME 2020 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference. New York:The American Society of Mechanical Engineers, 2020:17-19.

Share

COinS